top of page

My Industry Experience

President and CEO

Technology Connexions, Inc. [2001 -present]

President & CEO

Electronics Integration Inc. [2003 - 2010]

Vice President & General Manager,

Silicon Technology Services,

Cadence Design Systems/Tality [1995 - 2000]

  • Profit and Loss responsibility for a $30M Business Unit with over 120 employees.  Focused on providing world class Design Services in the areas of Custom Design, Test/Product Engineering and Process Test Chips/Modeling and Characterization

  • Aligned Market /Customer needs to capabilities in the emerging Outsourcing business model

  • Developed and Implemented “Go To Market” Strategy focused on migrating from tactical to strategic deals

  • Achieved high levels of customer satisfaction demonstrated thru repeat business

  • Drove operational efficiencies to improve profitability

  • Foundry Interface and Supply Chain Management

Director, I.C. Technology,

Unisys Corporation [1976 - 1995]

  • Broad perspective of semiconductor industry technology capabilities.  Chaired panels of senior executives at 1991, 1992, 1994, 2001, 2002, 2003 CICC (Custom Integrated Circuits Conference), 2009 IEDM (International Electron Devices Meeting)

  • Thorough understanding of technology & business tradeoffs in comparing and selecting IC technologies such as                        CMOS, BiCMOS, Bipolar, GaAs, etc. (Papers1991 & 1992 CICC)

  • Tracked industry ASIC and process/design/packaging technology trends.       (Paper 1990 CICC)

  • Directed Unisys I.C. Technology Team.  Coordinated new technology programs & Roadmaps to meet System requirements

  • Key role in incorporating CMOS technology into Unisys mainframes.

  • Managed technical and business interface for transfer & use of leading edge process technologies from partners.

  • Participated in negotiation of numerous technology transfer agreements with technology partners.

  • Participated in business and strategic assessment of captive IC capabilities.

  • Transferred and Installed five leading edge process technologies from technology partners.

  • Managed Process Technology Integration and Process Development groups.

  • Many years of hands-on Process Development experience, Invented and developed a bipolar and a CMOS process technology.

Senior Staff Process Engineer, Motorola [1974 - 1976]

  • Worked on Device Integration, isolation techniques, ion implantation, plasma etching and CVD for VLSI fabrication.

  • Developed failure analysis techniques for successful process development.

bottom of page